blob: 60359070deef89281ff15b3adfccb39c5f395b9d [file] [log] [blame]
#
# OR test
#
FORWARD success;
FORWARD failure;
: phase2 0 0 OR 0 = IF success ELSE failure ENDIF ;
: MAIN 7 8 OR 15 = IF phase2 ELSE failure ENDIF ;