blob: 0abe5d819c4f9ea3e027319ba1ce192c3193b54b [file] [log] [blame]
; Testcase distilled from 256.bzip2.
target endian = little
target pointersize = 32
int %main() {
entry:
%X = add int 1, -1
br label %Next
Next:
%A = phi int [ %X, %entry ]
%B = phi int [ %X, %entry ]
%C = phi int [ %X, %entry ]
ret int %C
}