blob: c2e357a8e6565cb6dfcb3f3a73cdd2f7e8785c48 [file] [log] [blame]
# Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
# See https://llvm.org/LICENSE.txt for license information.
# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
from ._cf_ops_gen import *