blob: 7f2e7c7c8d39fe001b8ce8525f43dc1968c12b46 [file] [log] [blame]
##===----------------------------------------------------------------------===##
#
# Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
# See https://llvm.org/LICENSE.txt for license information.
# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
#
##===----------------------------------------------------------------------===##
add_library(MemoryManager INTERFACE)
target_include_directories(MemoryManager INTERFACE ${CMAKE_CURRENT_SOURCE_DIR})