blob: b9670b13874512ddb5e2a07bd3867cd5434ae6b7 [file] [log] [blame]
#
# PICK test
#
# Logic: // x0 ... Xn n -- x0 ... Xn x0
#
FORWARD success;
FORWARD failure;
: next 10 = IF success ELSE failure ENDIF ;
: MAIN 0 1 2 3 4 5 6 7 8 9 10 5 PICK 5 = IF next ELSE failure ENDIF ;