blob: 596b8939d671f5cca88477759315e8ae5747b295 [file] [log] [blame]
//===----------------------------------------------------------------------===//
//
// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
// See https://llvm.org/LICENSE.txt for license information.
// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
//
//===----------------------------------------------------------------------===//
#include <pstl/internal/pstl_config.h>
static_assert(_PSTL_VERSION == 13000);
static_assert(_PSTL_VERSION_MAJOR == 13);
static_assert(_PSTL_VERSION_MINOR == 00);
static_assert(_PSTL_VERSION_PATCH == 0);
int
main()
{
return 0;
}