blob: bf0910bfef029c3cb27cd80932dfa697b4b71bcc [file] [log] [blame]
/*
* Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
* See https://llvm.org/LICENSE.txt for license information.
* SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
*/
#define SCALAR 1
#include "v_pow.c"