blob: 6abf0ea5dbd51d973a21bbaba9706fdef06e914c [file] [log] [blame]
//===-- An empty file to be used for mixed mode builds --------------------===//
//
// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
// See https://llvm.org/LICENSE.txt for license information.
// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
//
//===----------------------------------------------------------------------===//