blob: cc3f8cd6a9beb95e24612656efc95e04d0503f29 [file] [log] [blame]
//===----------------------------------------------------------------------===//
//
// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
// See https://llvm.org/LICENSE.txt for license information.
// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
//
//===----------------------------------------------------------------------===//
// <ccomplex>
#include <ccomplex>
#include "test_macros.h"
int main(int, char**)
{
std::complex<double> d;
(void)d;
return 0;
}