blob: 09b469211a20ceec338fcd016e455ae488e52d1c [file] [log] [blame]
//===----------------------------------------------------------------------===//
//
// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
// See https://llvm.org/LICENSE.txt for license information.
// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
//
//===----------------------------------------------------------------------===//
// <ios>
#include <ios>
#include "test_macros.h"
int main(int, char**)
{
return 0;
}